Feynman R P 1959 Plenty of room at the bottom APS Annual Meeting |
Seisyan R P 2011 Nanolithography in microelectronics:a review Tech. Phys. 561061-73 |
Martín-Palma R J, Agullo-Rueda F and Martínez-Duart J 2006 Nanotechnology for Microelectronics and Optoelectronics 1st (Amsterdam, The Netherlands:Elsevier) edn (available at:www.elsevier.com/books/nanotechnology-for-microelectronics-andoptoelectronics/martin-palma/978-0-08-044553-3) |
Serrano E, Rus G and García-Martínez J 2009 Nanotechnology for sustainable energy Renew. Sustain. Energy Rev. 132373-84 |
Wang Z L and Wu W Z 2012 Nanotechnology-enabled energy harvesting for self-powered micro-/nanosystems Angew. Chem., Int. Ed. 5111700-21 |
Thrall J H 2004 Nanotechnology and medicine Radiology 230315-8 |
Fine D et al 2013 Silicon micro- and nanofabrication for medicine Adv. Healthc. Mater. 2632-66 |
Emerich D F and Thanos C G 2003 Nanotechnology and medicine Expert Opin. Biol. Ther. 3655-63 |
Spinney P S, Howitt D G, Smith R L and Collins S D 2010 Nanopore formation by low-energy focused electron beam machining Nanotechnology 21375301 |
Scognamiglio V 2013 Nanotechnology in glucose monitoring:advances and challenges in the last 10 years Biosens. Bioelectron. 4712-25 |
Webster T J 2011 Nanotechnology Enabled in Situ Sensors for Monitoring Health (New York, United States:Springer-Verlag) (available at:https://link.springer.com/book/10.1007%2F978-1-4419-7291-0) |
Chen Y Q, Duan X Y, Matuschek M, Zhou Y M, Neubrech F, Duan H G and Liu N 2017 Dynamic color displays using stepwise cavity resonators Nano Lett. 175555-60 |
Li J X, Chen Y Q, Hu Y Q, Duan H G and Liu N 2020 Magnesium-based metasurfaces for dual-function switching between dynamic holography and dynamic color display ACS Nano 147892-8 |
Yang Z M, Chen Y Q, Zhou Y M, Wang Y S, Dai P, Zhu X P and Duan H G 2017 Microscopic interference full-color printing using grayscale-patterned Fabry-Perot resonance cavities Adv. Opt. Mater. 51700029 |
Chen J F, Laidig T L, Wampler K E and Caldwell R F 1997 Practical method for full-chip optical proximity correction Proc. SPIE, Optical Microlithography X vol 3051 p 790 |
Kumar K, Duan H G, Hegde R S, Koh S C W, Wei J N and Yang J K W 2012 Printing colour at the optical diffraction limit Nat. Nanotechnol. 7557-61 |
Yang J K W, Chen Y J, Huang T L, Duan H G, Thiyagarajah N, Hui H K, Leong S H and Ng V 2011 Fabrication and characterization of bit-patterned media beyond 1.5 Tbit/in2 Nanotechnology 22385301 |
Yang J K W, Duan H G, Law J B K, Low H Y and Cord B 2011 Miniaturization of grayscale images J. Vac. Sci. Technol. B 2906F313 |
Moosburger J, Kamp M, Forchel A, Ferrini R, Leuenberger D, Houdré R, Anand S and Berggren J 2002 Nanofabrication of high quality photonic crystals for integrated optics circuits Nanotechnology 13341-5 |
Siampour H, Kumar S and Bozhevolnyi S I 2017 Nanofabrication of plasmonic circuits containing single photon sources ACS Photonics 41879-84 |
Elshaari A W, Pernice W, Srinivasan K, Benson O and Zwiller V 2020 Hybrid integrated quantum photonic circuits Nat. Photon. 14285-98 |
Hu Y Q et al 2020 Trichromatic and tripolarization-channel holography with noninterleaved dielectric metasurface Nano Lett. 20994-1002 |
Hu Y Q, Luo X H, Chen Y Q, Liu Q, Li X, Wang Y S, Liu N and Duan H G 20193D-integrated metasurfaces for full-colour holography Light Sci. Appl. 886 |
Hoch H C, Jelinski L W and Craighead H G 1997 Nanofabrication and biosystems:integrating materials science, engineering and biology J. Clin. Eng. 2226 |
Kumar C S S R, Hormes J and Leuschner C 2005 Nanofabrication Towards Biomedical Applications:Techniques, Tools, Applications, and Impact (Weinheim, Germany:Wiley-VCH) (available at:https://onlinelibrary.wiley.com/doi/book/10.1002/3527603476) |
Quake S R and Scherer A 2000 From micro- to nanofabrication with soft materials Science 2901536-40 |
Stanford M G, Rack P D and Jariwala D 2018 Emerging nanofabrication and quantum confinement techniques for 2D materials beyond graphene Npj 2D Mater. Appl. 220 |
Jürgens D, Greiner A, Stützle R, Habenicht A, Te Sligte E and Oberthaler M K 2004 Quantum features in atomic nanofabrication using exactly resonant standing waves Phys. Rev. Lett. 93237402 |
Herman A 2013 Tip-based nanofabrication as a rapid prototyping tool for quantum science and technology Rev. Theor. Sci. 13-33 |
Lin B J 2015 Making lithography work for the 7-nm node and beyond in overlay accuracy, resolution, defect, and cost Microelectron. Eng. 14391-101 |
de Simone D and Vandenberghe G 2019 Printability study of EUV double patterning for CMOS metal layers Proc. SPIE, Extreme Ultraviolet(EUV) Lithography X vol 10957 p 109570Q |
Brunner T A, Chen X M, Gabor A, Higgins C, Sun L and Mack C A 2017 Line-edge roughness performance targets for EUV lithography Proc. SPIE, Extreme Ultraviolet (EUV) Lithography VⅢ (San Jose, California, United States) vol 10143 p 101430E |
Chen R, Li Y C, Cai J M, Cao K and Lee H B R 2020 Atomic level deposition to extend Moore's law and beyond Int. J. Extreme Manuf. 2022002 |
Guo D et al 2016 FINFET technology featuring high mobility SiGe channel for 10 nm and beyond IEEE Symposium on VLSI Technology (Honolulu, HI, USA) (https://doi.org/10.1109/VLSIT.2016.7573360) |
Chang C and Sakdinawat A 2014 Ultra-high aspect ratio high-resolution nanofabrication for hard x-ray diffractive optics Nat. Commun. 54243 |
Shapiro D A et al 2014 Chemical composition mapping with nanometre resolution by soft x-ray microscopy Nat. Photon. 8765-9 |
Chao W L, Harteneck B D, Liddle J A, Anderson E H and Attwood D T 2005 Soft x-ray microscopy at a spatial resolution better than 15 nm Nature 4351210-3 |
Mohacsi I, Vartiainen I, Rösner B, Guizar-Sicairos M, Guzenko V A, McNulty I, Winarski R, Holt M V and David C 2017 Interlaced zone plate optics for hard x-ray imaging in the 10 nm range Sci. Rep. 743624 |
Chao W L, Kim J, Rekawa S, Fischer P and Anderson E H 2009 Demonstration of 12 nm resolution Fresnel zone plate lens based soft x-ray microscopy Opt. Express 1717669-77 |
Deamer D, Akeson M and Branton D 2016 Three decades of nanopore sequencing Nat. Biotechnol. 34518-24 |
Derrington I M, Butler T Z, Collins M D, Manrao E, Pavlenok M, Niederweis M and Gundlach J H 2010 Nanopore DNA sequencing with MspA Proc. Natl Acad. Sci. USA 10716060-5 |
Maitra R D, Kim J and Dunbar W B 2012 Recent advances in nanopore sequencing Electrophoresis 333418-28 |
Branton D et al 2008 The potential and challenges of nanopore sequencing Nat. Biotechnol. 261146-53 |
Jain M, Olsen H E, Paten B and Akeson M 2016 The oxford nanopore MinION:delivery of nanopore sequencing to the genomics community Genome Biol. 17239 |
Natarajan C M, Tanner M G and Hadfield R H 2012 Superconducting nanowire single-photon detectors:physics and applications Supercond. Sci. Technol. 25063001 |
Marsili F, Najafi F, Dauler E, Bellei F, Hu X L, Csete M, Molnar R J and Berggren K K 2011 Single-photon detectors based on ultranarrow superconducting nanowires Nano Lett. 112048-53 |
Korzh B et al 2020 Demonstration of sub-3 ps temporal resolution with a superconducting nanowire single-photon detector Nat. Photon. 14250-5 |
Dauler E A, Grein M E, Kerman A J, Marsili F, Miki S, Nam S W, Shaw M D, Terai H, Verma V B and Yamashita T 2014 Review of superconducting nanowire single-photon detector system design options and demonstrated performance Opt. Eng. 53081907 |
Wang L, Chen S M, Zhang J Y, Zhou J, Yang C T, Chen Y Q and Duan H G 2018 High performance 33.7 GHz surface acoustic wave nanotransducers based on AlScN/diamond/Si layered structures Appl. Phys. Lett. 113093503 |
Zheng J P et al 202030 GHz surface acoustic wave transducers with extremely high mass sensitivity Appl. Phys. Lett. 116123502 |
Chen Z et al 2020 Ultrahigh-frequency surface acoustic wave sensors with giant mass-loading effects on electrodes ACS Sens. 51657-64 |
Auth C et al 2012 A 22 nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors Symp. on VLSI Technology (VLSIT) (Honolulu, HI, USA) (IEEE) pp 131-2 |
Bohr M 201414 nm process technology:opening new horizons (available at:www.intel.com/content/dam/www/public/us/en/documents/technology-briefs/bohr-14nm-idf- 2014-brief.pdf) |
Auth C et al 2018 A 10 nm high performance and low-power CMOS technology featuring 3rd generation FinFET transistors, self-aligned quad patterning, contact over active gate and cobalt local interconnects Proc. 2017 IEEE Int. Electron. Devices Meeting pp 29.1.1-4 |
Li J L, Gershow M, Stein D, Brandin E and Golovchenko J A 2003 DNA molecules and configurations in a solid-state nanopore microscope Nat. Mater. 2611-5 |
Khanal S, Spitale A, Bhattarai N, Bahena D, Velazquez-Salazar J J, Mejía-Rosales S, Mariscal M M and José-Yacaman M 2014 Synthesis, characterization, and growth simulations of Cu-Pt bimetallic nanoclusters Beilstein J. Nanotechnol. 51371-9 |
Dabbousi B O, Rodriguez-Viejo J, Mikulec F V, Heine J R, Mattoussi H, Ober R, Jensen K F and Bawendi M G 1997(CdSe)ZnS core-shell quantum dots:synthesis and characterization of a size series of highly luminescent nanocrystallites J. Phys. Chem. B 1019463-75 |
Li Z Q, Chen Y Q, Zhu X P, Zheng M J, Dong F L, Chen P P, Xu L H, Chu W G and Duan H G 2016 Fabrication of single-crystal silicon nanotubes with sub-10 nm walls using cryogenic inductively coupled plasma reactive ion etching Nanotechnology 27365302 |
Tan S F, Wu L, Yang J K W, Bai P, Bosman M and Nijhuis C A 2014 Quantum plasmon resonances controlled by molecular tunnel junctions Science 3431496-9 |
Duan H G, Fernández-Domínguez A I, Bosman M, Maier S A and Yang J K W 2012 Nanoplasmonics:classical down to the nanometer scale Nano Lett. 121683-9 |
Xiang Q, Zhu X P, Chen Y Q and Duan H G 2016 Surface enhanced Raman scattering of gold nanoparticles supported on copper foil with graphene as a nanometer gap Nanotechnology 27075201 |
Zuloaga J, Prodan E and Nordlander P 2009 Quantum description of the plasmon resonances of a nanoparticle dimer Nano Lett. 9887-91 |
Marinica D C, Kazansky A K, Nordlander P, Aizpurua J and Borisov A G 2012 Quantum plasmonics:nonlinear effects in the field enhancement of a plasmonic nanoparticle dimer Nano Lett. 121333-9 |
Zhu W Q and Crozier K B 2014 Quantum mechanical limit to plasmonic enhancement as observed by surface-enhanced Raman scattering Nat. Commun. 55228 |
Wang L, Fine D, Jung T, Basu D, von Seggern H and Dodabalapur A 2004 Pentacene field-effect transistors with sub-10-nm channel lengths Appl. Phys. Lett. 851772-4 |
Ni Z Y et al 2016 Performance upper limit of sub-10 nm monolayer MoS2 transistors Adv. Electron. Mater. 21600191 |
Nourbakhsh A et al 2016 MoS2 field-effect transistor with sub-10 nm channel length Nano Lett. 167798-806 |
Ward D R, Hüser F, Pauly F, Cuevas J C and Natelson D 2010 Optical rectification and field enhancement in a plasmonic nanogap Nat. Nanotechnol. 5732-6 |
Wu L, Duan H G, Bai P, Bosman M, Yang J K W and Li E P 2013 Fowler-Nordheim tunneling induced charge transfer plasmons between nearly touching nanoparticles ACS Nano 7707-16 |
Wiener A, Duan H G, Bosman M, Horsfield A P, Pendry J B, Yang J K W, Maier S A and Fernández-Domínguez A I 2013 Electron-energy loss study of nonlocal effects in connected plasmonic nanoprisms ACS Nano 76287-96 |
Zheng M J, Yang Y, Zhu D, Chen Y Q, Shu Z W, Berggren K K, Soljǎcić M and Duan H G 2021 Enhancing plasmonic spectral tunability with anomalous material dispersion Nano Lett. 2191-98 |
Sargent E H 2005 Infrared quantum dots Adv. Mater. 17515-22 |
Narasimha S et al 2017 A 7 nm CMOS technology platform for mobile and high performance compute application Proc. 2017 IEEE Int. Electron Devices Meeting pp 29.5.1-4 |
Yu Z Q, Wang C M, Du Y, Thevuthasan S and Lyubinetsky I 2008 Reproducible tip fabrication and cleaning for UHV STM Ultramicroscopy 108873-7 |
Wang Y M, Lu L X, Srinivasan B M, Asbahi M, Zhang Y W and Yang J K W 2015 High aspect ratio 10-nm-scale nanoaperture arrays with template-guided metal dewetting Sci. Rep. 59654 |
Liang X G and Chou S Y 2008 Nanogap detector inside nanofluidic channel for fast real-time label-free DNA analysis Nano Lett. 81472-6 |
Fuechsle M, Miwa J A, Mahapatra S, Ryu H, Lee S, Warschkow O, Hollenberg L C L, Klimeck G and Simmons M Y 2012 A single-atom transistor Nat. Nanotechnol. 7242-6 |
Jeong S J, Xia G D, Kim B H, Shin D O, Kwon S H, Kang S W and Kim S O 2008 Universal block copolymer lithography for metals, semiconductors, ceramics, and polymers Adv. Mater. 201898-904 |
Chen X S et al 2013 Atomic layer lithography of wafer-scale nanogap arrays for extreme confinement of electromagnetic waves Nat. Commun. 42361 |
Fan J A, Wu C, Bao K, Bao J M, Bardhan R, Halas N J, Manoharan V N, Nordlander P, Shvets G and Capasso F 2010 Self-assembled plasmonic nanoparticle clusters Science 3281135-8 |
Tian J H, Liu B, Li X L, Yang Z L, Ren B, Wu S T, Tao N J and Tian Z Q 2006 Study of molecular junctions with a combined surface-enhanced Raman and mechanically controllable break junction method J. Am. Chem. Soc. 12814748-9 |
Hatzor A and Weiss P S 2001 Molecular rulers for scaling down nanostructures Science 2911019-20 |
Wang Y D, Abb M, Boden S A, Aizpurua J, de Groot C H and Muskens O L 2013 Ultrafast nonlinear control of progressively loaded, single plasmonic nanoantennas fabricated using helium ion milling Nano Lett. 135647-53 |
Capodieci L 2006 From optical proximity correction to lithography-driven physical design (1996-2006):10 years of resolution enhancement technology and the roadmap enablers for the next decade Proc. SPIE, Optical Microlithography XIX. 6154615401 |
Garofalo J, Biddick C J, Kostelak R L and Vaidya S 1993 Mask assisted off-axis illumination technique for random logic J. Vac. Sci. Technol. B 112651 |
Levenson M D, Viswanathan N S and Simpson R A 1982 Improving resolution in photolithography with a phase-shifting mask IEEE Trans. Electron Devices 291828-36 |
Lin B J 2002 The k3 coefficient in non-paraxial (lambda)/NA scaling equations for resolution, depth of focus, and immersion lithography J. Micro-Nanolith. MEMS, MOEMS 17 |
Bencher C, Chen Y M, Dai H X, Montgomery W and Huli L 200822 nm half-pitch patterning by CVD spacer self alignment double patterning (SADP) Proc. SPIE, Optical Microlithography XXI vol 6924 p 69244E |
Nakayama K, Kodama C, Kotani T, Nojima S, Mimotogi S and Miyamoto S 2012 Self-aligned double and quadruple patterning layout principle Proc. SPIE, Design for Manufacturability through Design-Process Integration VI vol 8327 p 83270V |
Chen Y J, Cheng Q and Kang W L 2012 Technological merits, process complexity, and cost analysis of self-aligned multiple patterning Proc. SPIE, Optical Microlithography vol 8326 p 832620 |
van Schoot J, van Ingen Schenau K, Valentin C and Migura S 2015 EUV lithography scanner for sub-8 nm resolution Proc. SPIE, Extreme Ultraviolet (EUV) Lithography VI vol 9422 p 94221F |
Kim S S et al 2017 Progress in EUV lithography toward manufacturing Proc. SPIE, Extreme Ultraviolet (EUV) Lithography VⅢ vol 10143 p 1014306 |
Meiling H 2009 EUV-breaking new ground Laser Technol. J. 637-39 |
Chen Y and Xiong S S 2020 Directed self-assembly of block copolymers for sub-10 nm fabrication Int. J. Extreme Manuf. 2032006 |
Delgadillo P A R, Thode C J, Nealey P F, Gronheid R, Wu H P, Cao Y, Neisser M, Somervell M H and Nafus K 2012 Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment J. Micro-Nanolith. MEMS, MOEMS 11031302 |
Lane A P, Yang X M, Maher M J, Blachut G, Asano Y, Someya Y, Mallavarapu A, Sirard S M, Ellison C J and Willson C G 2017 Directed self-assembly and pattern transfer of five nanometer block copolymer lamellae ACS Nano 117656-65 |
Liu C C et al 2018 Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond Nat. Electron. 1562-9 |
Tseng Y C, Peng Q, Ocola L E, Elam J W and Darling S B 2011 Enhanced block copolymer lithography using sequential infiltration synthesis J. Phys. Chem. C 11517725-9 |
Peng Q, Tseng Y C, Darling S B and Elam J W 2011 A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates ACS Nano 54600-6 |
Jeong S J, Kim J Y, Kim B H, Moon H S and Kim S O 2013 Directed self-assembly of block copolymers for next generation nanolithography Mater. Today 16468-76 |
Maher M J, Rettner C T, Bates C M, Blachut G, Carlson M C, Durand W J, Ellison C J, Sanders D P, Cheng J Y and Willson C G 2015 Directed self-assembly of silicon-containing block copolymer thin films ACS Appl. Mater. Interfaces 73323-8 |
Finn A, Hensel R, Hagemann F, Kirchner R, Jahn A and Fischer W J 2012 Geometrical properties of multilayer nano-imprint-lithography molds for optical applications Microelectron. Eng. 98284-7 |
Lan H B and Liu H Z 2013 UV-nanoimprint lithography:structure, materials and fabrication of flexible molds J. Nanosci. Nanotechnol. 133145-72 |
Austin M D, Ge H X, Wu W, Li M T, Yu Z N, Wasserman D, Lyon S A and Chou S Y 2004 Fabrication of 5 nm linewidth and 14 nm pitch features by nanoimprint lithography Appl. Phys. Lett. 845299-301 |
Hua F et al 2004 Polymer imprint lithography with molecular-scale resolution Nano Lett. 42467-71 |
Austin M D, Zhang W, Ge H X, Wasserman D, Lyon S A and Chou S Y 20056 nm half-pitch lines and 0.04µm2 static random access memory patterns by nanoimprint lithography Nanotechnology 161058-61 |
Chou S Y and Krauss P R 1997 Imprint lithography with sub-10 nm feature size and high throughput Microelectron. Eng. 35237-40 |
Wu W et al 2008 Sub-10 nm nanoimprint lithography by wafer bowing Nano Lett. 83865-9 |
Chou S Y, Krauss P R, Zhang W, Guo L J and Zhuang L 1997 Sub-10 nm imprint lithography and applications J. Vac. Sci. Technol. B 152897 |
Kuo C W, Shiu J Y, Chen P L and Somorjai G A 2003 Fabrication of size-tunable large-area periodic silicon nanopillar arrays with sub-10-nm resolution J. Phys. Chem. B 1079950-3 |
Li W D, Wu W and Williams R S 2013 Single-digit nanometer nanoimprint templates SPIE Newsroom (https://doi.org/10.1117/2.1201307.004975) |
Li W D, Wu W and Williams R S 2012 Combined helium ion beam and nanoimprint lithography attains 4 nm half-pitch dense patterns J. Vac. Sci. Technol. B 3006F304 |
Cord B, Yang J, Duan H G, Joy D C, Klingfus J and Berggren K K 2009 Limiting factors in sub-10 nm scanning-electron-beam lithography J. Vac. Sci. Technol. B 272616 |
Duan H G, Manfrinato V R, Yang J K W, Winston D, Cord B M and Berggren K K 2010 Metrology for electron-beam lithography and resist contrast at the sub-10 nm scale J. Vac. Sci. Technol. B 28 C6H11-7 |
Manfrinato V R, Zhang L H, Su D, Duan H G, Hobbs R G, Stach E A and Berggren K K 2013 Resolution limits of electron-beam lithography toward the atomic scale Nano Lett. 131555-8 |
Manfrinato V R, Camino F E, Stein A, Zhang L H, Lu M, Stach E A and Black C T 2019 Patterning Si at the 1 nm length scale with aberration-corrected electron-beam lithography:tuning of plasmonic properties by design Adv. Funct. Mater. 291903429 |
Yang J K W, Cord B, Duan H G, Berggren K K, Klingfus J, Nam S W, Kim K B and Rooks M J 2009 Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithography J. Vac. Sci. Technol. B 272622 |
Duan H G, Winston D, Yang J K W, Cord B M, Manfrinato V R and Berggren K K 2010 Sub-10-nm half-pitch electron-beam lithography by using poly(methyl methacrylate) as a negative resist J. Vac. Sci. Technol. B 28 C6C58-62 |
Liu Q, Zhao J, Guo J, Wu R, Liu W, Chen Y, Du G and Duan H 2021 Sub-5 nm lithography with single GeV heavy ions using inorganic resist Nano Lett. 212390-6 |
van Dorp W F, van Someren B, Hagen C W, Kruit P and Crozier P A 2005 Approaching the resolution limit of nanometer-scale electron beam-induced deposition Nano Lett. 51303-7 |
Shen Y T, Xu T, Tan X D, He L B, Yin K, Wan N and Sun L T 2018 In situ repair of 2D chalcogenides under electron beam irradiation Adv. Mater. 301705954 |
Fischbein M D and Drndić M 2007 Sub-10 nm device fabrication in a transmission electron microscope Nano Lett. 71329-37 |
Friedensen S E, Parkin W M, Mlack J T and Drndić M 2018 Transmission electron microscope nanosculpting of topological insulator bismuth selenide ACS Nano 126949-55 |
Das P M et al 2016 Controlled sculpture of black phosphorus nanoribbons ACS Nano 105687-95 |
Fischbein M D and Drndić M 2008 Electron beam nanosculpting of suspended graphene sheets Appl. Phys. Lett. 93113107 |
Zandbergen H W, van Duuren R J H A, Alkemade P F A, Lientschnig G, Vasquez O, Dekker C and Tichelaar F D 2005 Sculpting nanoelectrodes with a transmission electron beam for electrical and geometrical characterization of nanoparticles Nano Lett. 5549-53 |
Li P, Chen S Y, Dai H F, Yang Z M, Chen Z Q, Wang Y S, Chen Y Q, Peng W Q, Shan W B and Duan H G 2021 Recent advances in focused ion beam nanofabrication for nanostructures and devices:fundamentals and applications Nanoscale 131529-65 |
Winston D et al 2011 Neon ion beam lithography (NIBL) Nano Lett. 114343-7 |
Hill R, Notte J A and Scipioni L 2012 Scanning helium ion microscopy Adv. Imaging Electron Phys. 17065-148 |
Ebbesen T W, Lezec H J, Ghaemi H F, Thio T and Wolff P A 1998 Extraordinary optical transmission through sub-wavelength hole arrays Nature 391667-9 |
Seo M A et al 2009 Terahertz field enhancement by a metallic nano slit operating beyond the skin-depth limit Nat. Photon. 3152-6 |
Nagpal P, Lindquist N C, Oh S H and Norris D J 2009 Ultrasmooth patterned metals for plasmonics and metamaterials Science 325594-7 |
Melli M, Polyakov A, Gargas D, Huynh C, Scipioni L, Bao W, Ogletree D F, Schuck P J, Cabrini S and Weber-Bargioni A 2013 Reaching the theoretical resonance quality factor limit in coaxial plasmonic nanoresonators fabricated by helium ion lithography Nano Lett. 132687-91 |
Klingner N, Hlawacek G, Mazarov P, Pilz W, Meyer F and Bischoff L 2020 Imaging and milling resolution of light ion beams from helium ion microscopy and FIBs driven by liquid metal alloy ion sources Beilstein J. Nanotechnol. 111742-9 |
Wu H, Stern L A, Xia D, Ferranti D, Thompson B, Klein K L, Gonzalez C M and Rack P D 2014 Focused helium ion beam deposited low resistivity cobalt metal lines with 10 nm resolution:implications for advanced circuit editing J. Mater. Sci. Mater. Electron. 25587-95 |
Stanford M G, Pudasaini P R, Cross N, Mahady K, Hoffman A N, Mandrus D G, Duscher G, Chisholm M F and Rack P D 2017 Tungsten diselenide patterning and nanoribbon formation by gas-assisted focused-helium-ion-beam-induced etching Small Methods 11600060 |
Crommie M F, Lutz C P and Eigler D M 1993 Confinement of electrons to quantum corrals on a metal surface Science 262218-20 |
Ballard J B et al 2014 Pattern transfer of hydrogen depassivation lithography patterns into silicon with atomically traceable placement and size control J. Vac. Sci. Technol. B 32041804 |
Cho Y K R, Rawlings C D, Wolf H, Spieser M, Bisig S, Reidt S, Sousa M, Khanal S R, Jacobs T D B and Knoll A W 2017 Sub-10 nanometer feature size in silicon using thermal scanning probe lithography ACS Nano 1111890-7 |
Neuber C et al 2014 Molecular glass resists for scanning probe lithography Proc. SPIE, Alternative Lithographic Technologies VI vol 9049 p 90491V |
Rangelow I W, Ivanov T, Sarov Y, Schuh A, Frank A, Hartmann H, Zöllner J P, Olynick D L and Kalchenko V 2010 Nanoprobe maskless lithography Proc. SPIE, Alternative Lithographic Technologies Ⅱ vol 7637 p 76370V |
Kaestner M and Rangelow I W 2011 Scanning proximal probe lithography for sub-10 nm resolution on calixresorcinarene J. Vac. Sci. Technol. B 2906FD02 |
Kaestner M and Rangelow I W 2020 Scanning probe lithography on calixarene towards single-digit nanometer fabrication Int. J. Extreme Manuf. 2032005 |
Kaestner M, Hofer M and Rangelow I W 2013 Nanolithography by scanning probes on calixarene molecular glass resist using mix-and-match lithography J. Micro-Nanolith. MEMS, MOEMS 12031111 |
Weis C D et al 2008 Single atom doping for quantum device development in diamond and silicon. J. Vac. Sci. Technol. B 262596-600 |
Piner R D, Zhu J, Xu F, Hong S H and Mirkin C A 1999 "Dip-pen" nanolithography Science 283661-3 |
Chen X D, Jeon Y M, Jang J W, Qin L D, Huo F W, Wei W and Mirkin C A 2008 On-wire lithography-generated molecule-based transport junctions:a new testbed for molecular electronics J. Am. Chem. Soc. 1308166-8 |
Schmucker A L, Barin G, Brown K A, Rycenga M, Coskun A, Buyukcakir O, Osberg K D, Stoddart J F and Mirkin C A 2013 Electronic and optical vibrational spectroscopy of molecular transport junctions created by on-wire lithography Small 91900-3 |
Chen Y Q, Xiang Q, Li Z Q, Wang Y S, Meng Y H and Duan H G 2016 "Sketch and Peel" lithography for high-resolution multiscale patterning Nano Lett. 163253-9 |
Zhang S et al 2020 Strongly coupled evenly divided disks:a new compact and tunable platform for plasmonic Fano resonances Nanotechnology 31325202 |
Zhang S, Li G C, Chen Y Q, Zhu X P, Liu S D, Lei D Y and Duan H G 2016 Pronounced Fano resonance in single gold split nanodisks with 15 nm split gaps for intensive second harmonic generation ACS Nano 1011105-14 |
Zheng M J et al 2019 Kirigami-inspired multiscale patterning of metallic structures via predefined nanotrench templates Microsystems. Nanoeng. 554 |
Chen Y Q, Zhang S, Shu Z W, Wang Z L, Liu P, Zhang C, Wang Y S, Liu Q, Duan H G and Liu Y J 2020 Adhesion-engineering-enabled "Sketch and Peel" lithography for aluminum plasmonic nanogaps Adv. Opt. Mater. 81901202 |
Chen Y Q, Shu Z W, Feng Z Y, Kong L A, Liu Y and Duan H G 2020 Reliable patterning, transfer printing and post-assembly of multiscale adhesion-free metallic structures for nanogap device applications Adv. Funct. Mater. 302002549 |
Xiang Q, Chen Y Q, Li Z Q, Bi K X, Zhang G H and Duan H G 2016 An anti-ultrasonic-stripping effect in confined micro/nanoscale cavities and its applications for efficient multiscale metallic patterning Nanoscale 819541-50 |
Chen Y Q, Bi K X, Wang Q J, Zheng M J, Liu Q, Han Y X, Yang J B, Chang S L, Zhang G H and Duan H G 2016 Rapid focused ion beam milling based fabrication of plasmonic nanoparticles and assemblies via "Sketch and Peel" strategy ACS Nano 1011228-36 |
Chen Y Q, Hu Y Q, Zhao J Y, Deng Y S, Wang Z L, Cheng X, Lei D Y, Deng Y B and Duan H G 2020 Topology optimization-based inverse design of plasmonic nanodimer with maximum near-field enhancement Adv. Funct. Mater. 302000642 |
Zeng P et al 2021 Fabrication of single-nanometer metallic gaps via spontaneous nanoscale dewetting Nanotechnology 32205302 |
Zeng P, Liu Q, Zheng M J, Chen Y Q, Liu G Y and Duan H G 2020 Ion-beam-etching based lift-off for reliable patterning of dense and inverse metallic nanostructures towards 10-nm scale Microelectron. Eng. 232111406 |
Liu Q, Song Y, Zeng P, Zhang C, Chen Y Q, Wang H B, Luo Y and Duan H G 2020 High-fidelity fabrication of plasmonic nanoholes array via ion-beam planarization for extraordinary transmission applications Appl. Surf. Sci. 526146690 |
Im H, Bantz K C, Lindquist N C, Haynes C L and Oh S H 2010 Vertically oriented sub-10-nm plasmonic nanogap arrays Nano Lett. 102231-6 |
Beesley D J, Semple J, Jagadamma L K, Amassian A, McLachlan M A, Anthopoulos T D and deMello J C 2014 Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography Nat. Commun. 53933 |
Qin L D, Park S, Huang L and Mirkin C A 2005 On-wire lithography Science 309113-5 |
Theiss J, Pavaskar P, Echternach P M, Muller R E and Cronin S B 2010 Plasmonic nanoparticle arrays with nanometer separation for high-performance SERS substrates Nano Lett. 102749-54 |
de Poortere E P, Stormer H L, Huang L M, Wind S J, O'Brien S, Huang M and Hone J 20061-to 2-nm-wide nanogaps fabricated with single-walled carbon nanotube shadow masks J. Vac. Sci. Technol. B 243213 |
Jung W B, Jang S, Cho S Y, Jeon H J and Jung H T 2020 Recent progress in simple and cost-effective top-down lithography for ≈10 nm scale nanopatterns:from edge lithography to secondary sputtering lithography Adv. Mater. 321907101 |
Leroy J, Crunteanu A, Bessaudou A, Cosset F, Champeaux C and Orlianges J C 2012 High-speed metal-insulator transition in vanadium dioxide films induced by an electrical pulsed voltage over nano-gap electrodes Appl. Phys. Lett. 100213507 |
Yang A K, Huntington M D, Cardinal M F, Masango S S, van Duyne R P and Odom T W 2014 Hetero-oligomer nanoparticle arrays for plasmon-enhanced hydrogen sensing ACS Nano 87639-47 |
Franklin A D, Luisier M, Han S J, Tulevski G, Breslin C M, Gignac L, Lundstrom M S and Haensch W 2012 Sub-10 nm carbon nanotube transistor Nano Lett. 12758-62 |
Zhu W Q, Banaee M G, Wang D X, Chu Y Z and Crozier K B 2011 Lithographically fabricated optical antennas with gaps well below 10 nm Small 71761-6 |
Duan H G, Hu H L, Hui H K, Shen Z X and Yang J K W 2013 Free-standing sub-10 nm nanostencils for the definition of gaps in plasmonic antennas Nanotechnology 24185301 |
Jeon H J, Kim K H, Baek Y K, Kim D W and Jung H T 2010 New top-down approach for fabricating high-aspect-ratio complex nanostructures with 10 nm scale features Nano Lett. 103604-10 |
Jeon H J, Jeong H S, Kim Y H, Jung W B, Kim J Y and Jung H T 2014 Fabrication of 10 nm-scale complex 3D nanopatterns with multiple shapes and components by secondary sputtering phenomenon ACS Nano 81204-12 |
Jeon H J, Kim J Y, Jung W B, Jeong H S, Kim Y H, Shin D O, Jeong S J, Shin J, Kim S O and Jung H T 2016 Complex high-aspect-ratio metal nanostructures by secondary sputtering combined with block copolymer self-assembly Adv. Mater. 288439-45 |
Abramova V, Slesarev A S and Tour J M 2013 Meniscus-mask lithography for narrow graphene nanoribbons ACS Nano 76894-8 |
Asbahi M, Mehraeen S, Wang F K, Yakovlev N, Chong K S L, Cao J S, Tan M C and Yang J K W 2015 Large area directed self-assembly of sub-10 nm particles with single particle positioning resolution Nano Lett. 156066-70 |
Rothemund P W K 2006 Folding DNA to create nanoscale shapes and patterns Nature 440297-302 |
Castro C E, Kilchherr F, Kim D N, Shiao E L, Wauer T, Wortmann P, Bathe M and Dietz H 2011 A primer to scaffolded DNA origami Nat. Methods 8221-9 |
Bald I and Keller A 2014 Molecular processes studied at a single-molecule level using DNA origami nanostructures and atomic force microscopy Molecules 1913803-23 |
Reed M A, Zhou C, Muller C J, Burgin T P and Tour J M 1997 Conductance of a molecular junction Science 278252-4 |
Dubois V, Niklaus F and Stemme G 2016 Crack-defined electronic nanogaps Adv. Mater. 282178-82 |
Xiang D, Jeong H, Lee T and Mayer D 2013 Mechanically controllable break junctions for molecular electronics Adv. Mater. 254845-67 |
Johnston D E, Strachan D R and Johnson A T C 2007 Parallel fabrication of nanogap electrodes Nano Lett. 72774-7 |
Ward D R, Grady N K, Levin C S, Halas N J, Wu Y P, Nordlander P and Natelson D 2007 Electromigrated nanoscale gaps for surface-enhanced Raman spectroscopy Nano Lett. 71396-400 |